ASIC/SoC functional design verification : a comprehensive guide to technolo..

follow Follow

Book

select Filter
All
Business
Culture
Environment
Health
Politics
Research

Key facts

  • Author: Ashok B. Mehta
  • Isbn: 3319594184
  • Bnb id: GBB8N7827
  • Language: eng
  • Publication date: 2018
  • book publisher: : Springer
  • Book subject: Engineering, Systems engineering, Computer science, Logic design, Integrated circuits-Verification, SystemVerilog (Computer hardware description language), Systems on a chip-Design

Visualizations

Datasets

Source

The British Library

Updated: 56 days ago